Get the job you really want.
Be the first applicant
Apply to jobs posted less than 24 hours ago to maximize your visibility.
Use the Date Posted filter to view jobs posted within the last 24 hours.

Top Design Engineer Jobs in Bengaluru

7 Hours Ago
Hybrid
Bengaluru, KA
Senior level
Senior level
Artificial Intelligence • Internet of Things
The Senior Analog IC Design Engineer will implement SerDes, PLL, ADC/DAC, and PMU modules, working closely with architecture and layout teams to ensure verification and optimization of designs.
Top Skills: Analog Ic DesignData ConvertersOscillatorsPllPmuSerdes
7 Hours Ago
Hybrid
Bengaluru, KA
Senior level
Senior level
Artificial Intelligence • Internet of Things
Lead RTL and FPGA design and verification for digital architecture at Omni Design, ensuring implementation and validation of semiconductor solutions.
Top Skills: C++FpgaPerlPythonRtlSystem VerilogSystemcUvmVerilog
21 Hours Ago
Bengaluru, KA
Senior level
Senior level
Automotive
The Senior Product Design Engineer is responsible for mechanical design, fixture design, testing, and maintenance of products, collaborating with suppliers, and improving product performance.
Top Skills: CadJmpSolidworks
7 Days Ago
Bengaluru, KA
Senior level
Senior level
Financial Services
The Senior Antenna Design Engineer will lead the development of high-frequency antennas for satellite communication, performing electromagnetic simulations, prototyping, testing, and ensuring compliance with aerospace standards. They will collaborate with RF and system engineers to integrate antennas while optimizing designs for performance in extreme environments.
Top Skills: CstFekoHfss
Reposted 8 Days Ago
Bengaluru, KA
Senior level
Senior level
Big Data • Information Technology
The Senior Digital Design Engineer will design and implement high-performance digital solutions with a focus on RTL development and timing closure. Responsibilities include IP integration, overseeing design processes from architecture to GDS, and performing pre- and post-silicon debug.
8 Days Ago
Bengaluru, KA
Mid level
Mid level
Real Estate
The Structural Design Engineer will be responsible for designing light gauge steel and HRS framing, preparing structural design reports, reviewing engineering drawings for quality assurance, and providing design recommendations to enhance business opportunities. The role involves research and development for new construction methodologies and collaboration with other engineers for design development.
Top Skills: AutocadAutodesk RevitBim/Cad SoftwareEtabsFramecadRamSafeSap2000Simpson Anchor Designer
Reposted 8 Days Ago
Bengaluru, KA
Senior level
Senior level
Big Data • Information Technology
The Principal Digital Design Engineer will design and implement high-performance digital solutions, focusing on RTL development, micro-architecture, and IP integration for processor and peripherals. Responsibilities include ensuring timing closure, overseeing design verification, and collaborating with cross-functional teams.
Reposted 8 Days Ago
Bengaluru, KA
Senior level
Senior level
Big Data • Information Technology
The Senior Digital Design Engineer will develop high-performance digital solutions, focusing on RTL development and synthesis. Responsibilities include collaborating on IP integration for PCIe/CXL, ensuring timing closure, and utilizing Synopsys/Cadence tools for verification. The role emphasizes practical experience in high-speed protocol design and post-silicon debugging.
Reposted 8 Days Ago
Bengaluru, KA
Senior level
Senior level
Big Data • Information Technology
The Senior Physical Design Engineer at Astera Labs will focus on developing complex SoC/silicon products for Server, Storage, and Networking applications, overseeing full chip or block level ownership from architecture to GDSII. This role requires expertise in physical design tools, synthesis, timing closure, and scripting, aimed at driving multiple complex designs to production.
22 Days Ago
Bengaluru, KA
Senior level
Senior level
Hardware • Software
As a Physical Design Engineer, you will work on the full lifecycle of chip development, from CAD tool flow setup to execution of physical implementation, including floorplan, power delivery, and verification, while collaborating with architects and foundry partners.
Top Skills: Cad ToolsCadence GenusCalibreHspiceIcvInnovusPerlPrimetime PxPrimetime SiPythonRedhawkStarrcSynopsys Icc2/FusioncompilerSystemverilogTempusVoltus
Reposted 23 Days Ago
Bengaluru, KA
Expert/Leader
Expert/Leader
Automotive
The Staff Design Engineer will develop and validate RTL components for Aeva’s 4-D Lidar chip, working with various teams to meet design targets.
Top Skills: AmbaArmDspEthernetLpddrMipiSerdesVerilog
Reposted 23 Days Ago
Bengaluru, KA
Expert/Leader
Expert/Leader
Automotive
The Staff SoC Design Engineer will develop and integrate SoC CPU components, ensuring high performance, low power, and functionality in collaboration with cross-functional teams.
Top Skills: Amba ProtocolsArmCadence PalladiumCoresight DebuggerEthernetHigh-Speed SerdesLpddrMentor VeloceMipiSynopsys ZebuVerilog
Reposted 23 Days Ago
Bengaluru, KA
Senior level
Senior level
Artificial Intelligence • Internet of Things
The role involves high-performance analog and mixed-signal IC design, including transistor level design and production evaluation, requiring extensive experience with ADC and DAC design techniques.
Top Skills: AdcCadenceCmosDacHspiceMatlabSpectre
Reposted 23 Days Ago
Bengaluru, KA
Mid level
Mid level
Artificial Intelligence • Internet of Things
Develop and verify high-performance digital controllers for data converters, focusing on analog-to-digital and digital-to-analog conversion, while supporting synthesis and place-and-route flows.
Top Skills: Cadence EncounterMatlabSystemverilogVerilog
Reposted 23 Days Ago
Bengaluru, KA
Junior
Junior
Artificial Intelligence • Internet of Things
Design digital circuits for high-performance analog-to-digital and digital-to-analog converters, focusing on RTL design and verification.
Top Skills: MatlabSystemverilogVerilog
Reposted 23 Days Ago
Bengaluru, KA
Junior
Junior
Artificial Intelligence • Internet of Things
The role involves designing and verifying digital circuits for data converters, focusing on high-performance IC development and customer support.
Top Skills: Cadence EncounterMatlabSynthesis ToolsSystemverilogTiming Analysis ToolsVerilog
Reposted 23 Days Ago
Bengaluru, KA
Senior level
Senior level
Artificial Intelligence • Internet of Things
The Senior Digital Design Engineer is responsible for RTL design, verification, and synthesis of digital datapaths in high-performance ADCs and DACs, working with advanced CMOS processes.
Top Skills: Cadence ToolsInnovusMatlabPythonShell ScriptingSystemverilogVerilog
Reposted 23 Days Ago
Bengaluru, KA
Mid level
Mid level
Artificial Intelligence • Internet of Things
Design high-performance analog-to-digital and digital-to-analog converters, overseeing full cycle from specification to market, including testing and evaluation.
Top Skills: CadenceCmosHspiceSpectreVirtuoso
Reposted 8 Days Ago
Bengaluru, KA
Senior level
Senior level
Big Data • Information Technology
The Senior Design Verification Engineer will be responsible for developing and executing verification plans, writing and executing test sequences, collecting and closing coverage, and collaborating with RTL designers to debug and refine verification processes. The role requires expertise in PCIe and CXL protocols along with proficiency in developing VIP abstraction layers.
Reposted 8 Days Ago
Bengaluru, KA
Senior level
Senior level
Big Data • Information Technology
As a Principal DFT Engineer at Astera Labs, you will be responsible for overseeing the DFT design process for semiconductor connectivity products. This role involves collaborating with various engineering teams throughout the product lifecycle, ensuring effective testing, reliability analysis, and coordination in delivering innovative solutions to major cloud service providers and OEMs.
Reposted 9 Days Ago
Bengaluru, KA
Junior
Junior
Fintech • Payments • Financial Services
As an FPGA Design and Verification Engineer, you will research, develop, and verify new hardware IP solutions to achieve ultra-low latency designs. You will collaborate with experienced engineers and contribute to team efforts in a dynamic trading environment.
Reposted 23 Days Ago
Bengaluru, KA
Senior level
Senior level
Automotive
The Staff Design Verification Engineer will verify DSP designs for SoCs and FPGAs, develop verification environments, and collaborate with teams to enhance processes.
Top Skills: C/C++PerlPythonSystemverilogUvm
18 Days Ago
Remote
Bengaluru, KA
Senior level
Senior level
Blockchain
The Front-End Developer will design and implement a UI design system, collaborate with teams, and ensure performance and responsiveness across web applications using modern technologies.
Top Skills: Adobe XdBabelCSS3EmotionFigmaGitHTML5Javascript (Es6+)NpmReactSketchStyled ComponentsTurboTypescriptWebpackYarn

Top Bengaluru, KA Companies Hiring Design Engineers

See All
Aeva, Inc. Thumbnail
Automotive
Mountain View, CA
173 Employees
Astera Labs Thumbnail
Information Technology • Big Data
Santa Clara, CA
148 Employees
Elevation Capital Thumbnail
Financial Services
Vancouver, BC
16 Employees
ONX Thumbnail
Real Estate
Carrollton, TX
168 Employees
Omni Design Technologies Thumbnail
Internet of Things • Artificial Intelligence
Milpitas, CA
69 Employees
Quantbox Research Thumbnail
Payments • Fintech • Financial Services
Bengaluru
55 Employees

Popular Job Searches in Bengaluru

Tech Jobs & Startup Jobs in Bengaluru
Remote Jobs in Bengaluru
Accountant Jobs in Bengaluru
Accounts Executive Jobs in Bengaluru
Accounts Manager Jobs in Bengaluru
Accounts Payable Jobs in Bengaluru
Accounts Receivable Jobs in Bengaluru
Analyst Jobs in Bengaluru
Android Developer Jobs in Bengaluru
Artificial Intelligence Jobs in Bengaluru
AWS Jobs in Bengaluru
Backend Jobs in Bengaluru
Business Analyst Jobs in Bengaluru
Business Development Jobs in Bengaluru
Business Development Manager Jobs in Bengaluru
Cloud Engineer Jobs in Bengaluru
Content Writing Jobs in Bengaluru
Customer Care Jobs in Bengaluru
Customer Support Jobs in Bengaluru
Cyber Security Jobs in Bengaluru
Data Analyst Jobs in Bengaluru
Data Engineer Jobs in Bengaluru
Data Science Jobs in Bengaluru
Design Engineer Jobs in Bengaluru
DevOps Engineer Jobs in Bengaluru
DevOps Jobs in Bengaluru
Digital Marketing Jobs in Bengaluru
Embedded Engineer Jobs in Bengaluru
Engineering Jobs in Bengaluru
Engineering Manager Jobs in Bengaluru
Executive Assistant Jobs in Bengaluru
Finance Jobs in Bengaluru
Finance Manager Jobs in Bengaluru
Financial Analyst Jobs in Bengaluru
Front End Developer Jobs in Bengaluru
Full Stack Developer Jobs in Bengaluru
Graphic Designer Jobs in Bengaluru
HR Generalist Jobs in Bengaluru
HR Jobs in Bengaluru
HR Operations Jobs in Bengaluru
Inside Sales Jobs in Bengaluru
IOS Developer Jobs in Bengaluru
IT Jobs in Bengaluru
IT Support Jobs in Bengaluru
Java Developer Jobs in Bengaluru
Legal Jobs in Bengaluru
Linux Jobs in Bengaluru
Machine Learning Jobs in Bengaluru
Marketing Jobs in Bengaluru
Marketing Manager Jobs in Bengaluru
NET Developer Jobs in Bengaluru
NET Jobs in Bengaluru
Network Engineer Jobs in Bengaluru
Operations Jobs in Bengaluru
Operations Manager Jobs in Bengaluru
Product Manager Jobs in Bengaluru
Product Owner Jobs in Bengaluru
Program Manager Jobs in Bengaluru
Project Manager Jobs in Bengaluru
Python Developer Jobs in Bengaluru
Python Jobs in Bengaluru
QA Engineer Jobs in Bengaluru
QA Jobs in Bengaluru
Quality Analyst Jobs in Bengaluru
Quality Engineer Jobs in Bengaluru
React JS Jobs in Bengaluru
Recruiter Jobs in Bengaluru
Research Analyst Jobs in Bengaluru
Sales Engineer Jobs in Bengaluru
Sales Executive Jobs in Bengaluru
Sales Jobs in Bengaluru
Sales Manager Jobs in Bengaluru
Salesforce Developer Jobs in Bengaluru
Scrum Master Jobs in Bengaluru
SEO Jobs in Bengaluru
Software Engineer Jobs in Bengaluru
Software Testing Jobs in Bengaluru
SQL Developer Jobs in Bengaluru
System Engineer Jobs in Bengaluru
Tableau Developer Jobs in Bengaluru
Talent Acquisition Jobs in Bengaluru
Technical Support Engineer Jobs in Bengaluru
Technical Support Jobs in Bengaluru
Technical Writer Jobs in Bengaluru
Test Engineer Jobs in Bengaluru
UI Developer Jobs in Bengaluru
UX Designer Jobs in Bengaluru
Web Developer Jobs in Bengaluru
All Filters

Total selected (2)

Job Category, Company Size

Skills
Date Posted
Job Category
Search Area
Experience
Industry
Show more
Show less
Company Name
Company Size

Sign up now Access later

Create Free Account